CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pn vhdl

搜索资源列表

  1. pn_generator

    0下载:
  2. PN码发生器的matlab程序,对于写vhdl代码有很重要得参考价值
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:841
    • 提供者:ylt
  1. pn

    2下载:
  2. 用VHDL语言编写的PN码产生程序,希望对大家有所帮助
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:600178
    • 提供者:王权
  1. PN

    1下载:
  2. 利用vhdl语言编程实现的pn码产生.在quartus ii中通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:90014
    • 提供者:zhangtian
  1. PN7

    0下载:
  2. vhdl语言实现 pn码发生器 dpsk调制 以及扩频器-pn code generator vhdl language modulation and spread spectrum devices dpsk
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1073
    • 提供者:kid
  1. pn_gen_vhd_211

    0下载:
  2. 通信中常用的PN序列产生器的源代码全部打包-Communications commonly used in PN sequence generator, the source code of all packaged
  3. 所属分类:matlab

    • 发布日期:2017-04-26
    • 文件大小:129726
    • 提供者:jinyong
  1. Simulation-and-FPGA-Implementation-of-DigitalDBPSK

    0下载:
  2. 文章介绍了系统的硬件电路原理与具体实现方法,其中主要包括载波恢 复电路,PN 码捕获电路和跟踪电路,并针对Xilinx 公司FPGA 的特点,对各电 路的实现进行优化设计,在不影响系统稳定性和精度的前提下,减少硬件资源 消耗,提高硬件利用率。设计利用Verilog 硬件描述语言完成,通过后仿真验证 电路正确性,并给出综合结果。-This paper introduces the system' s hardware circuit principle and the spe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1008048
    • 提供者:mayuan
  1. PN_code_capture_and_tracing

    0下载:
  2. 一个完整的pn码捕获与跟踪的VHDL源码,并行匹配滤波器捕获,锁相环跟踪.-A complete pn Code Acquisition and Tracking of the VHDL source code, parallel matched filter to capture, phase-locked loop tracking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2099
    • 提供者:王永俊
  1. pn

    0下载:
  2. pn码串并结合快速捕获算法的改进与研究,详细介绍了串并结合捕获算法的优点-pn code acquisition algorithm for strings in combination with rapid improvement and research, detailing the string combined with the advantages of capturing algorithm
  3. 所属分类:Project Design

    • 发布日期:2016-11-16
    • 文件大小:3381177
    • 提供者:员丽琼
  1. xapp211

    0下载:
  2. ITS VHDL PROGRAM OF PN SEQUENCE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:70448
    • 提供者:sridhar
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. msk_mod

    0下载:
  2. msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。-msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value of the filtering process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1276
    • 提供者:刘进
  1. vhdl

    0下载:
  2. 串并转换和PN码产生的VHDL程序 希望对刚学习VHDL语言的同学有帮助!-And the PN code string and convert VHDL program generated just want students to learn VHDL, help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:989
    • 提供者:ls112853
  1. 基于FPGA直接序列扩频系统的设计

    1下载:
  2. 针对一般无线通信系统抗干扰、抗噪声以及抗多径性能力差的缺点,提出了一种基于FPGA 的直接序列 扩频系统设计。该设计采用63 位的pn 码作为扩频调制的码序列,在发送端,对信息码进行扩频调制; 在接收端,对 收到的扩频调制信号进行解扩,增强了系统的抗干扰性和可靠性。同时在Altera 公司的Quartus II 软件中,使用硬件描 述语言VHDL 和原理图相结合的方法进行了电路的设计实现。通过把电路下载到Altera 公司的CycloneIII 的 EP3C10E144C8N 芯片中调试
  3. 所属分类:文件格式

    • 发布日期:2013-02-18
    • 文件大小:468566
    • 提供者:ymlhhb
  1. VHDL

    0下载:
  2. 通信领域里的产生随机PN序列,QPSK调制解调的VHDL代码,适合通信领域的人士使用-Communication in the field of random PN sequence, QPSK modulation and demodulation of the VHDL code, those suitable for use in the field of communications
  3. 所属分类:Voice Compress

    • 发布日期:2017-04-13
    • 文件大小:2170
    • 提供者:岳雨豪
搜珍网 www.dssz.com